CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ram VHDL

搜索资源列表

  1. BoXingFaSheng

    0下载:
  2. 多功能波形发生器VHDL程序与仿真 功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:10019
    • 提供者:梁辰
  1. ram

    0下载:
  2. vhdl program for random access memory and sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1029
    • 提供者:swap
  1. Ram-block-code

    0下载:
  2. It is a VHDL code for Block RAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:712
    • 提供者:Umair
  1. RAM

    0下载:
  2. ram code in VHDL with its test code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:110784
    • 提供者:sab
  1. ram

    0下载:
  2. hi this is ram code in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8187
    • 提供者:mani
  1. 6soft_247MHz_channel

    0下载:
  2. lte上行信道解交织解复用: RTL: ack_addr_gen.vhd ack地址产生 data_addr_gen.vhd 数据地址产生 de_interl_mux_con_ctrl.vhd 控制单元 de_interl_mux_con_top.vhd 顶层 de_interl_mux_con_tt.vhd 测试平台 de_mux_ram.vhd ram deinterl_pack.vhd 变量定义 delay.vhd 延迟 delayb.vhd 延迟
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:200642
    • 提供者:renliang
  1. vhdl

    0下载:
  2. single-port RAM in write-first mode. module raminfr (clk, we, en, addr, di, do) input clk input we input en input [4:0] addr input [3:0] di output [3:0] do reg [3:0] RAM [31:0] reg [4:0] read_addr always @(po
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:32669
    • 提供者:chai
  1. module-Temperature

    0下载:
  2. DS18B20引脚功能 GND地,DQ数据总线,VDD电源电压 18B20共有三种形式的存储器资源,它们分别是: ROM 只读存储器,用于存放DS18B20ID编码,其前八位是单线系列编码,后面48位是芯片唯一的序列号,最后8位是以上56位的CRC码。DS18B20共64位ROM RAM 数据暂存器,数据掉电后丢失,共9个字节,每个字节8位,第1、2个字节是温度转换后的数据值信息,EEPROM 非易失性记忆体,用于存放长期需要保存的数据,上下限温度报警值和校验数据
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:9244
    • 提供者:袁亚楠
  1. General-memory-VHDL-code-library

    0下载:
  2. 通用存储器VHDL代码库。fifo,ram寄存器的代码和测试模块。-General-purpose memory VHDL code base. fifo, ram register code and test modules.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:23487
    • 提供者:周鑫
  1. cpu-and-ram

    0下载:
  2. 这是一个用VHDL语言写的简单带存储器的CPU设计,不涉及流水线设计,只是简单的利用QUARTUES II里的ram-This is a simple memory write VHDL CPU design, does not involve the assembly line design, simply use the ram in QUARTUES II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1262910
    • 提供者:郭雅娟
  1. cpldrealizing-DUALportRAM-vhdl

    0下载:
  2. 双端口RAM 实现对于RAM的同时读写操作-dualport ram with the VHDL to realize read or write the ram at the same time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:781502
    • 提供者:夏文瀚
  1. CPU-with-VHDL-16-32

    0下载:
  2. 在quartus中运行的32位指令集的16位CPU程序,模块化设计,包括MBR, BR, MR, ACC, MAR, PC, IR, CU, ROM, RAM, ALU等模块-In the the quartus run 32 16-bit CPU instruction set procedures, modular design, including the MBR, BR, MR, the ACC, the MAR, the PC, the IR CU, the ROM, RAM, ALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1651752
    • 提供者:
  1. ram

    0下载:
  2. SRAM 静态存储器 vhdl代码 计算机组成原理-SRAM is a memory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:832
    • 提供者:马三
  1. ram_controller-vhdl-code

    0下载:
  2. 存储器接口vhdl代码 包括ram flash -ram controller vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:6075822
    • 提供者:韩solo
  1. RAM_BLOCK

    0下载:
  2. Ram block code in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:25243
    • 提供者:M. Usman
  1. DUAL-PORT-RAM

    0下载:
  2. vhdl使用双口RAM,工程编译通过。编译工具QUARTUS 9.0。-vhdl using the dual-port RAM, compiled by engineering.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:3737160
    • 提供者:asdasdasd
  1. vhdl-Language-routine-highlights

    0下载:
  2. 工程中常用的VHDL控制模块,包括三态门,SDRAM,FIFO,PLL,RAM,FIlter等模块,非常实用的工程代码-Control module of VHDL is commonly used in engineering, including the tri-state gate, SDRAM, FIFO, PLL, RAM, FIlter module, very practical engineering code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:291436
    • 提供者:shujian
  1. VHDL-memory

    0下载:
  2. 存储器的VHDL描述,包括ROM,RAM,FIFO,stack等多种类型-design of memory by VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:34179
    • 提供者:zmz
  1. ram

    0下载:
  2. vhdl实现简单寄存器,没有那么复杂,上vhdl课编出来的,对学生比较好理解。-vhdl simple register
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:1067418
    • 提供者:黄浩
  1. dual_port_ram

    0下载:
  2. True dual port ram VHDL implementation
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:772
    • 提供者:slalom
« 1 2 3 4 5 6 78 9 10 11 12 13 »
搜珍网 www.dssz.com